Jump to content

Dharmendra

Members
  • Posts

    5
  • Joined

  • Last visited

Posts posted by Dharmendra

  1. I am working in Vitis 2023.2 and Genesys ZU (zynq mpsoc +ultrascale device) .Basically i want to create dual core application .I have made Platform project and added domain for both core 0 and core 1.Then i created system project .I have updated linker script also .but at last, the application for core 0 only running .

  2. I am working in Genesys ZU 5EV board with boot mode selected as SD card. this SD card comes with default petalinux out-of-box image .I am curious to know from which hardware file (.xsa) file this image is made up of.I went through Github and got "system.xsa" file. but i dont know how to extract block design information from this file . Basically i want to do reverse engineering and make block design from .xsa file with the help of vivado.

×
×
  • Create New...