Jump to content

roberto sanchez

Members
  • Posts

    2
  • Joined

  • Last visited

roberto sanchez's Achievements

Newbie

Newbie (1/4)

1

Reputation

  1. Yes, it worked. I set a bus signal as output in my block diagram and with the consraint file i controlled them. Thank you so much.
  2. Hello, i am doing a program in Vivado to see serial data transfer in a 7 segments display. As the Arty Z7 doesnt have a 7 segment display in the board, i am doing it external in a protobaord... So i want to know if i can activate the 3.3v digital pins only with the constraint file, or i need to activate them with other software or i need to do more things? ... i mean by only doing it with the constraint file by using these comands ## ChipKit Outer Digital Header set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { anode[0] }]; #IO_L5P_T0_34 Sch=CK_IO0 set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { anode[1] }]; #IO_L2N_T0_34 Sch=CK_IO1 set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { anode[2] }]; #IO_L3P_T0_DQS_PUDC_B_34 Sch=CK_IO2 set_property -dict { PACKAGE_PIN V13 IOSTANDARD LVCMOS33 } [get_ports { anode[3] }]; #IO_L3N_T0_DQS_34 Sch=CK_IO3 set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { anode[4] }]; #IO_L10P_T1_34 Sch=CK_IO4 set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { anode[5] }]; #IO_L5N_T0_34 Sch=CK_IO5 set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { anode[6] }]; #IO_L19P_T3_34 Sch=CK_IO6 set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { anode[7] }]; #IO_L9N_T1_DQS_34 Sch=CK_IO7 Thank you, Roberto
×
×
  • Create New...