Jump to content

Jason Kunz

Members
  • Posts

    3
  • Joined

  • Last visited

Jason Kunz's Achievements

Newbie

Newbie (1/4)

0

Reputation

  1. Hi @JColvin, So I took a look at those other threads and the manual and I'm trying to get a sense of the syntax for the .xdc file to specify the pulltype for the pins. Does this look right? Also, if the same program works on other BASYS3 boards with no problem in the seven segment display, wouldn't the issue be unique to my board? Thanks, Jason
  2. Hi @JColvin, Thank you for taking the time to help me with this. When I load the out-of-the-box flash demo, the all the digits in the SSEG work normally, going through 0-9. It's only when I try to run my own program and light up just the first digit that I experience problems. Like if I'm trying to increment the SSEG by one, the first digit will subtly change such that the lights that should show are very slightly brighter than the LEDs that should be off but are still on. Once I get to 16, the second digit turns to 1 as expected and the first digit still shows "8". But yes, the flash demo that the board defaults on when turned on without a bitstream works great. Thanks, Jason
  3. Hello, I received my BASYS3 board a few months back and recently found out that the seven segment display is not working right. I'm doing a class project where we have to increment the seven segment after every series of tests, and everything works as intended except for the first digit of the SSEG. The LEDs light up correctly, and the second SSEG digit increments as it should. However, when the first digit should show 0, 1, 2, 3, 4, 5, 6, etc, all it shows is 8. No cathodes turn off. When I run the Vivado bitstream on another basys3 board it works perfectly, so it must be the hardware on mine. In the default state, the buttons turn off all of the SSEG digits except the first, so it seems like the anode on the first one is faulty. Is this fixable? Thank you
×
×
  • Create New...