Jump to content

charkster

Members
  • Posts

    12
  • Joined

  • Last visited

Reputation Activity

  1. Like
    charkster got a reaction from Brent Gardner in cmod a7 flash program using Adept 2 or xc3sprog   
    I was able to program the spi flash memory on the cmod a7 by compiling xc3sprog from source on my raspberry pi. Here are the steps I used:
    (1) install libftd2xx drivers
    download libftd2xx-arm-v7-hf-1.4.22.gz and follow instructions in ReadMe.txt

    (2) Download xc3sprog from github
    sudo apt update
    sudo apt install build-essential libusb-dev libftdi-dev libgpiod-dev wiringpi git cmake
    git clone https://github.com/matrix-io/xc3sprog

    (3) Modify progalgspiflash.cpp
    add case 0x16:

         case 0xba:
            fprintf(stderr, "Found Numonyx N25Q Device, Device ID 0x%02x%02x\n",
                    fbuf[1], fbuf[2]);
            switch (fbuf[2])
              {
              case 0x16:
                pages = 16384;
                sector_size = 65536;
                break;

    (4) Compile xc3sprog
    mkdir xc3sprog/build
    cd xc3sprog/build
    cmake ..
    make
    sudo make install
    (5) Run the program command with the "-I" option, using the attached first.bit to help detect the spi flash rom.
    xc3sprog -c jtaghs1_fast -Ifirst.bit
    xc3sprog -c jtaghs1_fast -I your_design.bit
     
    Works like a charm.
    I have attached the xc3sprog compiled to run on raspberry pi (it still needs the libftdi1 library to be installed... sudo apt-get install libftdi1).
    first.bit run_first_spi_flash_cmod_a7.sh xc3sprog
    The first.bit file was created by taking this VHDL design from the github xc3sprog repo: xc3sprog/bscan_spi/bscan_xc7_spi.vhd and making it into a bit file using Vivado (with the target being the CMOD A7 board). I have included the constrants file "constr.xdc" which I used to create the first.bit file.
     
    constr.xdc
  2. Like
    charkster got a reaction from JColvin in cmod a7 xadc, which sequencer channels can I use from the 2 analog inputs?   
    Hi @JColvin,
    Thank you very much!! I should have looked at the schematic. I raised pin 15 voltage to 3.3V and saw full range on the 12bit value. I have a really good XADC configuration which is working well for me. I will post it tomorrow if others are interested. It converts a single channel continuously and has a data valid signal.... very simple.
    Great support is why my company buys Digilent.
  3. Like
    charkster got a reaction from xc6lx45 in cmod a7 flash program using Adept 2 or xc3sprog   
    I was able to program the spi flash memory on the cmod a7 by compiling xc3sprog from source on my raspberry pi. Here are the steps I used:
    (1) install libftd2xx drivers
    download libftd2xx-arm-v7-hf-1.4.22.gz and follow instructions in ReadMe.txt

    (2) Download xc3sprog from github
    sudo apt update
    sudo apt install build-essential libusb-dev libftdi-dev libgpiod-dev wiringpi git cmake
    git clone https://github.com/matrix-io/xc3sprog

    (3) Modify progalgspiflash.cpp
    add case 0x16:

         case 0xba:
            fprintf(stderr, "Found Numonyx N25Q Device, Device ID 0x%02x%02x\n",
                    fbuf[1], fbuf[2]);
            switch (fbuf[2])
              {
              case 0x16:
                pages = 16384;
                sector_size = 65536;
                break;

    (4) Compile xc3sprog
    mkdir xc3sprog/build
    cd xc3sprog/build
    cmake ..
    make
    sudo make install
    (5) Run the program command with the "-I" option, using the attached first.bit to help detect the spi flash rom.
    xc3sprog -c jtaghs1_fast -Ifirst.bit
    xc3sprog -c jtaghs1_fast -I your_design.bit
     
    Works like a charm.
    I have attached the xc3sprog compiled to run on raspberry pi (it still needs the libftdi1 library to be installed... sudo apt-get install libftdi1).
    first.bit run_first_spi_flash_cmod_a7.sh xc3sprog
    The first.bit file was created by taking this VHDL design from the github xc3sprog repo: xc3sprog/bscan_spi/bscan_xc7_spi.vhd and making it into a bit file using Vivado (with the target being the CMOD A7 board). I have included the constrants file "constr.xdc" which I used to create the first.bit file.
     
    constr.xdc
×
×
  • Create New...