Jump to content

Evocati

Members
  • Posts

    20
  • Joined

  • Last visited

Posts posted by Evocati

  1. Thank you Zygot for the suggestions! I have tried pmod with jumper wires, it is easier to use than I expected.

    I just need to uncomment the ports from .xdc then use it just like regular input/output. And each of the 8 pins of one pmod connector can be use individually.

     

    Evo

  2. Thanks for the suggestion! I thought PMOD is only used for peripherals like sensors, LED, etc, didn't know we can use them to connect boards.

    So I only need a PMOD cable to connect 2 FPGA boards like this, correct?

             FPGA board 1   -- PMOD cable  -- FPGA board2

    I have Zybo, Basys 3 and Nexys 4, all 3 boards have PMOD interface.

     

    And is there any IPs needed inside FPGA to be used as RX/TX to drive the PMOD?

     

    Thanks,

    Evo

  3. I am working on a project that requires 2 FPGAs, one to be used as source, the other as sink, there are some low speed signals (less than 1Mhz) to be transferred between 2 boards.

    Is there any way to connect 2 FPGA boards? Which board/peripheral is needed? 

     

    Thanks,

    Evo

×
×
  • Create New...