Xilinx Zynq MP First Stage Boot Loader Release 2020.1 May 21 2021 - 08:27:46 Reset Mode : System Reset Platform: Silicon (4.0), Running on A53-0 (64-bit) Processor, Device Name: XCZU3EG Digilent Genesys ZU board-specific init SD1 with level shifter Boot Mode Non authenticated Bitstream download to start now PL Configuration done successfully 8êŠUjT”'$HPª£ running on XCZU3EG/silicon v4/RTL5.1 at 0xfffea000 NOTICE: BL31: v2.2(release):xilinx_rebase_v2.2_2020.1 NOTICE: BL31: Built : 08:20:44, May 21 2021 U-Boot 2020.01 (May 21 2021 - 08:30:44 +0000) Board: Xilinx ZynqMP DRAM: 4 GiB PMUFW: v1.1 EL Level: EL2 Chip ID: zu3eg NAND: 0 MiB MMC: mmc@ff170000: 0 In: serial@ff000000 Out: serial@ff000000 Err: serial@ff000000 Bootmode: LVL_SHFT_SD_MODE1 Reset reason: EXTERNAL Net: ZYNQ GEM: ff0b0000, mdio bus ff0b0000, phyaddr 15, interface rgmii-id Warning: SPI speed fallback to 100 kHz SF: Detected is25lp256 with page size 256 Bytes, erase size 64 KiB, total 32 MiB zynq_board_read_rom_ethaddr: SPI ethaddr: 00:18:3E:03:B1:8B Warning: ethernet@ff0b0000 using MAC address from ROM eth0: ethernet@ff0b0000 Hit any key to stop autoboot: 2  1  0 switch to partitions #0, OK mmc0 is current device Scanning mmc 0:1... Found U-Boot script /boot.scr 2007 bytes read in 15 ms (129.9 KiB/s) ## Executing script at 20000000 83794772 bytes read in 5555 ms (14.4 MiB/s) ## Loading kernel from FIT Image at 10000000 ... Using 'conf@system-top.dtb' configuration Trying 'kernel@1' kernel subimage Description: Linux kernel Type: Kernel Image Compression: gzip compressed Data Start: 0x100000f8 Data Size: 8466556 Bytes = 8.1 MiB Architecture: AArch64 OS: Linux Load Address: 0x00080000 Entry Point: 0x00080000 Hash algo: sha256 Hash value: b1dc0920d68ab299a25eb0e42faa4035fe890f6c4f395953f9a68d0d93857655 Verifying Hash Integrity ... sha256+ OK ## Loading ramdisk from FIT Image at 10000000 ... Using 'conf@system-top.dtb' configuration Trying 'ramdisk@1' ramdisk subimage Description: petalinux-image-minimal Type: RAMDisk Image Compression: uncompressed Data Start: 0x1081fc7c Data Size: 75274590 Bytes = 71.8 MiB Architecture: AArch64 OS: Linux Load Address: unavailable Entry Point: unavailable Hash algo: sha256 Hash value: e7155076b3e6f035a6f4c8f2ea3cd9c7f8112fae9451a946d733b25befb31d40 Verifying Hash Integrity ... sha256+ OK ## Loading fdt from FIT Image at 10000000 ... Using 'conf@system-top.dtb' configuration Trying 'fdt@system-top.dtb' fdt subimage Description: Flattened Device Tree blob Type: Flat Device Tree Compression: uncompressed Data Start: 0x10813284 Data Size: 51495 Bytes = 50.3 KiB Architecture: AArch64 Hash algo: sha256 Hash value: d9f6eed2cdfaa867198fecdad3e6b62644bec6d7960d994eed9470c6abc1538f Verifying Hash Integrity ... sha256+ OK Booting using the fdt blob at 0x10813284 Uncompressing Kernel Image Loading Ramdisk to 74836000, end 78fff95e ... OK Loading Device Tree to 000000000fff0000, end 000000000ffff926 ... OK Starting kernel ... [ 0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034] [ 0.000000] Linux version 5.4.0-xilinx-v2020.1 (oe-user@oe-host) (gcc version 9.2.0 (GCC)) #1 SMP Fri May 21 08:31:04 UTC 2021 [ 0.000000] Machine model: xlnx,zynqmp [ 0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8') [ 0.000000] printk: bootconsole [cdns0] enabled [ 0.000000] efi: Getting EFI parameters from FDT: [ 0.000000] efi: UEFI not found. [ 0.000000] cma: Reserved 1000 MiB at 0x0000000036000000 [ 0.000000] psci: probing for conduit method from DT. [ 0.000000] psci: PSCIv1.1 detected in firmware. [ 0.000000] psci: Using standard PSCI v0.2 function IDs [ 0.000000] psci: MIGRATE_INFO_TYPE not supported. [ 0.000000] psci: SMC Calling Convention v1.1 [ 0.000000] percpu: Embedded 21 pages/cpu s49112 r8192 d28712 u86016 [ 0.000000] Detected VIPT I-cache on CPU0 [ 0.000000] CPU features: detected: ARM erratum 845719 [ 0.000000] Speculative Store Bypass Disable mitigation not required [ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 1031940 [ 0.000000] Kernel command line: earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/ram0 rw [ 0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes, linear) [ 0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes, linear) [ 0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off [ 0.000000] software IO TLB: mapped [mem 0x7bf00000-0x7ff00000] (64MB) [ 0.000000] Memory: 2931628K/4193280K available (12092K kernel code, 692K rwdata, 3816K rodata, 704K init, 324K bss, 237652K reserved, 1024000K cma-reserved) [ 0.000000] rcu: Hierarchical RCU implementation. [ 0.000000] rcu: RCU event tracing is enabled. [ 0.000000] rcu: RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4. [ 0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies. [ 0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4 [ 0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0 [ 0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000 [ 0.000000] GIC: Using split EOI/Deactivate mode [ 0.000000] random: get_random_bytes called from start_kernel+0x2a8/0x42c with crng_init=0 [ 0.000000] arch_timer: cp15 timer(s) running at 30.00MHz (phys). [ 0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0xdd67c8a60, max_idle_ns: 881590406601 ns [ 0.000003] sched_clock: 56 bits at 30MHz, resolution 33ns, wraps every 4398046511088ns [ 0.008301] Console: colour dummy device 80x25 [ 0.012390] Calibrating delay loop (skipped), value calculated using timer frequency.. 60.00 BogoMIPS (lpj=120000) [ 0.022667] pid_max: default: 32768 minimum: 301 [ 0.027399] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear) [ 0.034617] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear) [ 0.043338] ASID allocator initialised with 32768 entries [ 0.047812] rcu: Hierarchical SRCU implementation. [ 0.052725] EFI services will not be available. [ 0.057145] smp: Bringing up secondary CPUs ... [ 0.061852] Detected VIPT I-cache on CPU1 [ 0.061886] CPU1: Booted secondary processor 0x0000000001 [0x410fd034] [ 0.062239] Detected VIPT I-cache on CPU2 [ 0.062258] CPU2: Booted secondary processor 0x0000000002 [0x410fd034] [ 0.062591] Detected VIPT I-cache on CPU3 [ 0.062610] CPU3: Booted secondary processor 0x0000000003 [0x410fd034] [ 0.062658] smp: Brought up 1 node, 4 CPUs [ 0.096970] SMP: Total of 4 processors activated. [ 0.101642] CPU features: detected: 32-bit EL0 Support [ 0.106745] CPU features: detected: CRC32 instructions [ 0.111880] CPU: All CPU(s) started at EL2 [ 0.115927] alternatives: patching kernel code [ 0.121422] devtmpfs: initialized [ 0.129070] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns [ 0.133313] futex hash table entries: 1024 (order: 4, 65536 bytes, linear) [ 0.163317] xor: measuring software checksum speed [ 0.200283] 8regs : 2375.000 MB/sec [ 0.240312] 32regs : 2725.000 MB/sec [ 0.280343] arm64_neon: 2365.000 MB/sec [ 0.280380] xor: using function: 32regs (2725.000 MB/sec) [ 0.284254] pinctrl core: initialized pinctrl subsystem [ 0.290074] NET: Registered protocol family 16 [ 0.294821] DMA: preallocated 256 KiB pool for atomic allocations [ 0.299931] audit: initializing netlink subsys (disabled) [ 0.305392] audit: type=2000 audit(0.248:1): state=initialized audit_enabled=0 res=1 [ 0.305754] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers. [ 0.333999] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages [ 0.335050] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages [ 0.341718] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages [ 0.348375] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages [ 1.428975] DRBG: Continuing without Jitter RNG [ 1.506228] raid6: neonx8 gen() 1548 MB/s [ 1.574267] raid6: neonx8 xor() 1465 MB/s [ 1.642300] raid6: neonx4 gen() 1485 MB/s [ 1.710336] raid6: neonx4 xor() 1421 MB/s [ 1.778403] raid6: neonx2 gen() 1135 MB/s [ 1.846442] raid6: neonx2 xor() 1182 MB/s [ 1.914489] raid6: neonx1 gen() 741 MB/s [ 1.982537] raid6: neonx1 xor() 893 MB/s [ 2.050580] raid6: int64x8 gen() 1166 MB/s [ 2.118626] raid6: int64x8 xor() 761 MB/s [ 2.186668] raid6: int64x4 gen() 984 MB/s [ 2.254700] raid6: int64x4 xor() 736 MB/s [ 2.322829] raid6: int64x2 gen() 683 MB/s [ 2.390834] raid6: int64x2 xor() 598 MB/s [ 2.458853] raid6: int64x1 gen() 452 MB/s [ 2.526899] raid6: int64x1 xor() 459 MB/s [ 2.526937] raid6: using algorithm neonx8 gen() 1548 MB/s [ 2.530890] raid6: .... xor() 1465 MB/s, rmw enabled [ 2.535819] raid6: using neon recovery algorithm [ 2.540829] iommu: Default domain type: Translated [ 2.545458] SCSI subsystem initialized [ 2.549103] usbcore: registered new interface driver usbfs [ 2.554446] usbcore: registered new interface driver hub [ 2.559717] usbcore: registered new device driver usb [ 2.564757] mc: Linux media interface: v0.10 [ 2.568966] videodev: Linux video capture interface: v2.00 [ 2.574415] pps_core: LinuxPPS API ver. 1 registered [ 2.579330] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti [ 2.588423] PTP clock support registered [ 2.592314] EDAC MC: Ver: 3.0.0 [ 2.595802] zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels. [ 2.604089] FPGA manager framework [ 2.607398] Advanced Linux Sound Architecture Driver Initialized. [ 2.613591] Bluetooth: Core ver 2.22 [ 2.616886] NET: Registered protocol family 31 [ 2.621284] Bluetooth: HCI device and connection manager initialized [ 2.627600] Bluetooth: HCI socket layer initialized [ 2.632442] Bluetooth: L2CAP socket layer initialized [ 2.637463] Bluetooth: SCO socket layer initialized [ 2.642607] clocksource: Switched to clocksource arch_sys_counter [ 2.648459] VFS: Disk quotas dquot_6.6.0 [ 2.652294] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) [ 2.663113] NET: Registered protocol family 2 [ 2.663737] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear) [ 2.671942] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear) [ 2.679959] TCP bind hash table entries: 32768 (order: 7, 524288 bytes, linear) [ 2.687403] TCP: Hash tables configured (established 32768 bind 32768) [ 2.693581] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear) [ 2.700244] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear) [ 2.707421] NET: Registered protocol family 1 [ 2.711858] RPC: Registered named UNIX socket transport module. [ 2.717479] RPC: Registered udp transport module. [ 2.722144] RPC: Registered tcp transport module. [ 2.726814] RPC: Registered tcp NFSv4.1 backchannel transport module. [ 2.733453] PCI: CLS 0 bytes, default 64 [ 2.737209] Trying to unpack rootfs image as initramfs... [ 6.262148] Freeing initrd memory: 73508K [ 6.262630] hw perfevents: no interrupt-affinity property for /pmu, guessing. [ 6.267815] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available [ 6.276260] Initialise system trusted keyrings [ 6.279857] workingset: timestamp_bits=46 max_order=20 bucket_order=0 [ 6.286944] NFS: Registering the id_resolver key type [ 6.291168] Key type id_resolver registered [ 6.295304] Key type id_legacy registered [ 6.299287] nfs4filelayout_init: NFSv4 File Layout Driver Registering... [ 6.305954] ntfs: driver 2.1.32 [Flags: R/W DEBUG]. [ 6.310818] jffs2: version 2.2. (NAND) © 2001-2006 Red Hat, Inc. [ 6.332237] NET: Registered protocol family 38 [ 6.332281] Key type asymmetric registered [ 6.335112] Asymmetric key parser 'x509' registered [ 6.339979] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247) [ 6.347307] io scheduler mq-deadline registered [ 6.351803] io scheduler kyber registered [ 6.382800] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled [ 6.387283] cacheinfo: Unable to detect cache hierarchy for CPU 0 [ 6.393864] brd: module loaded [ 6.398384] loop: module loaded [ 6.399221] mtdoops: mtd device (mtddev=name/number) must be supplied [ 6.403480] libphy: Fixed MDIO Bus: probed [ 6.407326] tun: Universal TUN/TAP device driver, 1.6 [ 6.411457] CAN device driver interface [ 6.416131] usbcore: registered new interface driver asix [ 6.420589] usbcore: registered new interface driver ax88179_178a [ 6.426624] usbcore: registered new interface driver cdc_ether [ 6.432417] usbcore: registered new interface driver net1080 [ 6.438038] usbcore: registered new interface driver cdc_subset [ 6.443919] usbcore: registered new interface driver zaurus [ 6.449460] usbcore: registered new interface driver sierra_net [ 6.455342] usbcore: registered new interface driver cdc_ncm [ 6.461872] usbcore: registered new interface driver uas [ 6.466248] usbcore: registered new interface driver usb-storage [ 6.472237] usbcore: registered new interface driver qcserial [ 6.477913] usbserial: USB Serial support registered for Qualcomm USB modem [ 6.484832] usbcore: registered new interface driver sierra [ 6.490364] usbserial: USB Serial support registered for Sierra USB modem [ 6.497669] rtc_zynqmp ffa60000.rtc: registered as rtc0 [ 6.502351] i2c /dev entries driver [ 6.507594] usbcore: registered new interface driver uvcvideo [ 6.511458] USB Video Class driver (1.1.1) [ 6.515915] Bluetooth: HCI UART driver ver 2.3 [ 6.519938] Bluetooth: HCI UART protocol H4 registered [ 6.525038] Bluetooth: HCI UART protocol BCSP registered [ 6.530330] Bluetooth: HCI UART protocol LL registered [ 6.535419] Bluetooth: HCI UART protocol ATH3K registered [ 6.540793] Bluetooth: HCI UART protocol Three-wire (H5) registered [ 6.547045] Bluetooth: HCI UART protocol Intel registered [ 6.552385] Bluetooth: HCI UART protocol QCA registered [ 6.557586] usbcore: registered new interface driver bcm203x [ 6.563209] usbcore: registered new interface driver bpa10x [ 6.568748] usbcore: registered new interface driver bfusb [ 6.574194] usbcore: registered new interface driver btusb [ 6.579656] usbcore: registered new interface driver ath3k [ 6.585181] EDAC MC: ECC not enabled [ 6.588754] EDAC DEVICE0: Giving out device to module edac controller cache_err: DEV edac (POLLED) [ 6.597704] EDAC DEVICE1: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT) [ 6.610026] sdhci: Secure Digital Host Controller Interface driver [ 6.615777] sdhci: Copyright(c) Pierre Ossman [ 6.620100] sdhci-pltfm: SDHCI platform and OF driver helper [ 6.626070] ledtrig-cpu: registered to indicate activity on CPUs [ 6.631735] zynqmp_firmware_probe Platform Management API v1.1 [ 6.637490] zynqmp_firmware_probe Trustzone version v1.0 [ 6.667044] alg: No test for xilinx-zynqmp-aes (zynqmp-aes) [ 6.669146] zynqmp_aes zynqmp_aes: AES Successfully Registered [ 6.669146] [ 6.674546] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384) [ 6.682310] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa) [ 6.687779] usbcore: registered new interface driver usbhid [ 6.691547] usbhid: USB HID core driver [ 6.697894] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered [ 6.702082] usbcore: registered new interface driver snd-usb-audio [ 6.708809] pktgen: Packet Generator for packet performance testing. Version: 2.75 [ 6.715951] Initializing XFRM netlink socket [ 6.719753] NET: Registered protocol family 10 [ 6.724467] Segment Routing with IPv6 [ 6.727814] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver [ 6.733915] NET: Registered protocol family 17 [ 6.738006] NET: Registered protocol family 15 [ 6.742421] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this. [ 6.755295] can: controller area network core (rev 20170425 abi 9) [ 6.761460] NET: Registered protocol family 29 [ 6.765850] can: raw protocol (rev 20170425) [ 6.770085] can: broadcast manager protocol (rev 20170425 t) [ 6.775709] can: netlink gateway (rev 20190810) max_hops=1 [ 6.781228] Bluetooth: RFCOMM TTY layer initialized [ 6.786010] Bluetooth: RFCOMM socket layer initialized [ 6.791114] Bluetooth: RFCOMM ver 1.11 [ 6.794835] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 [ 6.800101] Bluetooth: BNEP filters: protocol multicast [ 6.805294] Bluetooth: BNEP socket layer initialized [ 6.810220] Bluetooth: HIDP (Human Interface Emulation) ver 1.2 [ 6.816104] Bluetooth: HIDP socket layer initialized [ 6.821150] 9pnet: Installing 9P2000 support [ 6.825296] Key type dns_resolver registered [ 6.829767] registered taskstats version 1 [ 6.833580] Loading compiled-in X.509 certificates [ 6.838750] Btrfs loaded, crc32c=crc32c-generic [ 6.851344] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 46, base_baud = 6250000) is a xuartps [ 6.860382] printk: console [ttyPS0] enabled [ 6.860382] printk: console [ttyPS0] enabled [ 6.864846] printk: bootconsole [cdns0] disabled [ 6.864846] printk: bootconsole [cdns0] disabled [ 6.874174] ff010000.serial: ttyPS1 at MMIO 0xff010000 (irq = 47, base_baud = 6250000) is a xuartps [ 6.887429] of-fpga-region fpga-full: FPGA Region probed [ 6.893276] GPIO IRQ not connected [ 6.896680] XGpio: gpio@80009000: registered, base is 488 [ 6.902279] GPIO IRQ not connected [ 6.905691] XGpio: gpio@80010000: registered, base is 485 [ 6.911285] GPIO IRQ not connected [ 6.914692] XGpio: gpio@80020000: registered, base is 484 [ 6.920288] GPIO IRQ not connected [ 6.923688] XGpio: gpio@80060000: registered, base is 483 [ 6.929285] GPIO IRQ not connected [ 6.932696] XGpio: gpio@80070000: registered, base is 466 [ 6.938105] GPIO IRQ not connected [ 6.941641] XGpio: gpio@80070000: dual channel registered, base is 449 [ 6.948361] GPIO IRQ not connected [ 6.951771] XGpio: gpio@80080000: registered, base is 432 [ 6.957174] GPIO IRQ not connected [ 6.960704] XGpio: gpio@80080000: dual channel registered, base is 415 [ 6.967426] GPIO IRQ not connected [ 6.970832] XGpio: gpio@80090000: registered, base is 412 [ 6.976236] GPIO IRQ not connected [ 6.979768] XGpio: gpio@80090000: dual channel registered, base is 409 [ 6.986492] GPIO IRQ not connected [ 6.989901] XGpio: gpio@800a0000: registered, base is 406 [ 6.995304] GPIO IRQ not connected [ 6.998837] XGpio: gpio@800a0000: dual channel registered, base is 403 [ 7.005560] GPIO IRQ not connected [ 7.008963] XGpio: gpio@800b0000: registered, base is 388 [ 7.014368] GPIO IRQ not connected [ 7.017899] XGpio: gpio@800b0000: dual channel registered, base is 373 [ 7.024618] GPIO IRQ not connected [ 7.028023] XGpio: gpio@800c0000: registered, base is 361 [ 7.033428] GPIO IRQ not connected [ 7.036959] XGpio: gpio@800c0000: dual channel registered, base is 349 [ 7.043704] GPIO IRQ not connected [ 7.047112] XGpio: gpio@80030000: registered, base is 348 [ 7.052813] XGpio: gpio@800d0000: registered, base is 343 [ 7.058405] GPIO IRQ not connected [ 7.061808] XGpio: gpio@800e0000: registered, base is 339 [ 7.067484] XGpio: gpio@800f0000: registered, base is 335 [ 7.073607] nwl-pcie fd0e0000.pcie: Link is DOWN [ 7.078266] nwl-pcie fd0e0000.pcie: host bridge /amba/pcie@fd0e0000 ranges: [ 7.085257] nwl-pcie fd0e0000.pcie: MEM 0xe0000000..0xefffffff -> 0xe0000000 [ 7.092490] nwl-pcie fd0e0000.pcie: MEM 0x600000000..0x7ffffffff -> 0x600000000 [ 7.100084] nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00 [ 7.106270] pci_bus 0000:00: root bus resource [bus 00-ff] [ 7.111760] pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff] [ 7.118637] pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref] [ 7.126144] pci 0000:00:00.0: [10ee:d011] type 01 class 0x060400 [ 7.132212] pci 0000:00:00.0: PME# supported from D0 D1 D2 D3hot [ 7.141528] pci 0000:00:00.0: PCI bridge to [bus 01-0c] [ 7.147317] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed [ 7.153888] xilinx-vdma 80008000.dma: Xilinx AXI DMA Engine Driver Probed!! [ 7.161059] xilinx-vdma 8000a000.dma: Xilinx AXI DMA Engine Driver Probed!! [ 7.168240] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success [ 7.175336] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success [ 7.182444] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success [ 7.189546] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success [ 7.196651] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success [ 7.203762] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success [ 7.210862] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success [ 7.217969] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success [ 7.225141] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success [ 7.232260] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success [ 7.239361] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success [ 7.246461] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success [ 7.253563] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success [ 7.260663] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success [ 7.267766] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success [ 7.274870] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success [ 7.282181] xilinx-psgtr fd400000.zynqmp_phy: Lane:3 type:8 protocol:4 pll_locked:yes [ 7.292931] zynqmp_clk_divider_set_rate() set divider failed for spi0_ref_div1, ret = -13 [ 7.301548] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed [ 7.312199] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed [ 7.320231] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed [ 7.328703] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok [ 7.341140] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok [ 7.353832] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed [ 7.363999] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000 [ 7.370969] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013). [ 7.377574] [drm] No driver support for vblank timestamp query. [ 7.383549] xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffffffc010d19c08) [ 8.470635] [drm] Cannot find any crtc or sizes [ 8.475403] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor 0 [ 8.483503] zynqmp-display fd4a0000.zynqmp-display: ZynqMP DisplayPort Subsystem driver probed [ 8.493294] WILC_SPI spi1.0: spiModalias: wilc1000, spiMax-Speed: 48000000 [ 8.500172] Registering wifi device [ 8.503658] Max scan ids= 10,Max scan IE len= 1000,Signal Type= 1,Interface Modes= 844 [ 8.511720] Initializing Locks ... [ 8.515592] wifi_pm : 0 [ 8.518041] WILC_SPI spi1.0: succesfully got gpio_reset [ 8.523355] WILC_SPI spi1.0: succesfully got gpio_chip_en [ 8.528904] wifi_pm : 1 [ 8.531357] WILC_SPI spi1.0: succesfully got gpio_reset [ 8.536677] WILC_SPI spi1.0: succesfully got gpio_chip_en [ 8.547294] WILC_SPI spi1.0: WILC SPI probe success [ 8.552583] spi_master spi0: /amba/spi@ff0f0000/flash@0 has no valid 'reg' property (-22) [ 8.560759] spi_master spi0: Failed to create SPI device for /amba/spi@ff0f0000/flash@0 [ 8.569050] macb ff0b0000.ethernet: Not enabling partial store and forward [ 8.576365] libphy: MACB_mii_bus: probed [ 8.583846] TI DP83867 ff0b0000.ethernet-ffffffff:0f: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0b0000.ethernet-ffffffff:0f, irq=68) [ 8.596714] macb ff0b0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0b0000 irq 29 (00:18:3e:03:b1:8b) [ 8.606868] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM [ 8.613392] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM [ 8.619879] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM [ 8.626355] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM [ 8.633270] dwc3 fe200000.dwc3: Failed to get clk 'ref': -2 [ 8.639056] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:0 protocol:3 pll_locked:yes [ 8.649292] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller [ 8.654883] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1 [ 8.662652] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000202010810 [ 8.672061] xhci-hcd xhci-hcd.0.auto: irq 69, io mem 0xfe200000 [ 8.678184] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04 [ 8.686444] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 8.693665] usb usb1: Product: xHCI Host Controller [ 8.698541] usb usb1: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd [ 8.705145] usb usb1: SerialNumber: xhci-hcd.0.auto [ 8.710290] hub 1-0:1.0: USB hub found [ 8.714055] hub 1-0:1.0: 1 port detected [ 8.718165] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller [ 8.723736] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2 [ 8.731398] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0 SuperSpeed [ 8.738145] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04 [ 8.746406] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 8.753624] usb usb2: Product: xHCI Host Controller [ 8.758492] usb usb2: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd [ 8.765099] usb usb2: SerialNumber: xhci-hcd.0.auto [ 8.770214] hub 2-0:1.0: USB hub found [ 8.773982] hub 2-0:1.0: 1 port detected [ 8.778367] dwc3-of-simple ff9e0000.usb1: dwc3_simple_set_phydata: Can't find usb3-phy [ 8.786649] dwc3 fe300000.dwc3: Failed to get clk 'ref': -2 [ 8.792414] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller [ 8.797978] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 3 [ 8.805714] xhci-hcd xhci-hcd.1.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000202010010 [ 8.815119] xhci-hcd xhci-hcd.1.auto: irq 72, io mem 0xfe300000 [ 8.821268] usb usb3: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04 [ 8.829524] usb usb3: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 8.836743] usb usb3: Product: xHCI Host Controller [ 8.841612] usb usb3: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd [ 8.848217] usb usb3: SerialNumber: xhci-hcd.1.auto [ 8.853319] hub 3-0:1.0: USB hub found [ 8.857083] hub 3-0:1.0: 1 port detected [ 8.861170] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller [ 8.866735] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 4 [ 8.874400] xhci-hcd xhci-hcd.1.auto: Host supports USB 3.0 SuperSpeed [ 8.881014] usb usb4: We don't know the algorithms for LPM for this host, disabling LPM. [ 8.889173] usb usb4: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04 [ 8.897440] usb usb4: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 8.904656] usb usb4: Product: xHCI Host Controller [ 8.909524] usb usb4: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd [ 8.916129] usb usb4: SerialNumber: xhci-hcd.1.auto [ 8.921245] hub 4-0:1.0: USB hub found [ 8.925005] hub 4-0:1.0: 1 port detected [ 8.929900] i2c i2c-1: Added multiplexed i2c bus 4 [ 8.934823] i2c i2c-1: Added multiplexed i2c bus 5 [ 8.939734] i2c i2c-1: Added multiplexed i2c bus 6 [ 8.944867] i2c i2c-1: Added multiplexed i2c bus 7 [ 8.977247] i2c i2c-1: Added multiplexed i2c bus 8 [ 9.009582] i2c i2c-1: Added multiplexed i2c bus 9 [ 9.014510] i2c i2c-1: Added multiplexed i2c bus 10 [ 9.019508] i2c i2c-1: Added multiplexed i2c bus 11 [ 9.024383] pca954x 1-0070: registered 8 multiplexed busses for I2C switch pca9548 [ 9.031971] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 31 [ 9.038347] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 32 [ 9.045061] cpufreq: cpufreq_online: CPU0: Running at unlisted freq: 1200000 KHz [ 9.052462] cpu cpu0: dev_pm_opp_set_rate: failed to find current OPP for freq 1200000000 (-34) [ 9.061203] cpufreq: cpufreq_online: CPU0: Unlisted initial frequency changed to: 1199999 KHz [ 9.069739] cpu cpu0: dev_pm_opp_set_rate: failed to find current OPP for freq 1200000000 (-34) [ 9.110283] mmc0: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit [ 9.120465] rtc_zynqmp ffa60000.rtc: setting system clock to 2022-11-03T06:50:26 UTC (1667458226) [ 9.129331] of_cfs_init [ 9.131793] of_cfs_init: OK [ 9.134736] cfg80211: Loading compiled-in X.509 certificates for regulatory database [ 9.206622] usb 3-1: new high-speed USB device number 2 using xhci-hcd [ 9.276407] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7' [ 9.282941] clk: Not disabling unused clocks [ 9.287208] ALSA device list: [ 9.290162] #0: DisplayPort monitor [ 9.294142] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2 [ 9.302749] cfg80211: failed to load regulatory.db [ 9.307751] Freeing unused kernel memory: 704K [ 9.326644] Run /init as init process INIT: version 2.88 booting [ 9.381440] mmc0: new ultra high speed SDR104 SDHC card at address aaaa [ 9.388602] mmcblk0: mmc0:aaaa SL16G 14.8 GiB [ 9.393181] usb 3-1: New USB device found, idVendor=0424, idProduct=2513, bcdDevice= b.b3 [ 9.401370] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 Starting udev [ 9.413914] mmcblk0: p1 [ 9.431572] udevd[166]: starting version 3.2.8 [ 9.436358] random: udevd: uninitialized urandom read (16 bytes read) [ 9.442968] random: udevd: uninitialized urandom read (16 bytes read) [ 9.449474] random: udevd: uninitialized urandom read (16 bytes read) [ 9.457152] hub 3-1:1.0: USB hub found [ 9.460864] udevd[167]: starting eudev-3.2.8 [ 9.460966] hub 3-1:1.0: 3 ports detected [ 9.515268] mali: loading out-of-tree module taints kernel. [ 9.578180] <1>Digilent pwm module loaded. [ 9.582593] pwm-rgb-led 80100000.pwm_rgb: Device Tree Probing [ 9.588385] pwm-rgb-led 80100000.pwm_rgb: pwm-rgb-led at 0x80100000 mapped to 0x11410000 [ 9.590649] [drm] Cannot find any crtc or sizes [ 9.775556] FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck. Configuring packages on first boot.... (This may take several minutes. Please do not power off the machine.) Running postinst /etc/rpm-postinsts/100-sysvinit-inittab... Running postinst /etc/rpm-postinsts/101-libmali-xlnx... [ 10.220825] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0 [ 10.264517] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0 [ 10.294810] Warn: update-alternatives: libmali-xlnx has multiple providers with the same priority, please check /usr/lib/opkg/alternatives/libmali-xlnx for details [ 10.323283] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0 [ 10.366990] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0 update-rc.d: /etc/init.d/run-postinsts exists during rc.d purge (continuing) Removing any system startup links for run-postinsts ... /etc/rcS.d/S99run-postinsts Starting zuca-test-suite INIT: Entering runlevel: 5 BT SERVER IS RUNNING Configuring network interfaces... [ 10.464396] pps pps0: new PPS source ptp0 [ 10.468478] macb ff0b0000.ethernet: gem-ptp-timer ptp clock registered. udhcpc: started, v1.31.0 udhcpc: sending discover udhcpc: sending discover udhcpc: sending discover udhcpc: no lease, forking to background done. Starting system message bus: dbus. Starting haveged: haveged: listening socket at 3 haveged: haveged starting up Starting Dropbear SSH server: Generating 2048 bit rsa key, this may take a while... haveged: haveged: ver: 1.9.5; arch: generic; vend: ; build: (gcc 9.2.0 CTV); collect: 128K haveged: haveged: cpu: (VC); data: 16K (D); inst: 16K (D); idx: 11/40; sz: 15456/64452 haveged: haveged: tot tests(BA8): A:1/1 B:1/1 continuous tests(B): last entropy estimate 8.00462 haveged: haveged: fills: 0, generated: 0 [ 20.455719] random: crng init done [ 20.459125] random: 7 urandom warning(s) missed due to ratelimiting Public key portion is: ssh-rsa AAAAB3NzaC1yc2EAAAADAQABAAABAQCYQrErSAyNeYCEVD1AW5VH0fie/CsA/uvc5XIp+IV4djZR1+Sgi5OpDh3ggTzY9g6V5K9++ycVle4afBQYVCaiGtAi56ECnO2wcdA2SHWAyfkIfll9InbNCnc6Oa3o3rb6PjKyeWgzMYQ5IizFr5Js3hUgSOdc0LgW8PYeHhmDe1++WA7cB7/eeRGKia7QJvjASPE0OpCOjY8pKwKXKdO+XeE8i9XShrpt5DUZSjydbuHO43DDXwFMtOkShd+XNDM030qkgqkPH5wDj1nEEprIrbjzoRFoImfnlgDWacMUWMZvKgFiX8QK9E9nLIwsF5FdgKlGL9nWLz2H9nXxr7Xj root@GenesysZU Fingerprint: sha1!! df:77:de:9a:39:2c:da:6e:34:c5:48:1f:d2:9e:e0:bb:85:b1:e4:1d dropbear. Starting internet superserver: inetd. Starting syslogd/klogd: done Starting tcf-agent: OK PetaLinux 2020.1 GenesysZU /dev/ttyPS0 GenesysZU login: